tiedoston nimen antaminen parametrinä

Steve

Haluaisin antaa esim. tiedoston nimen parametrinä terminaalissa ajettavalle scriptille:

Esim. komento:
perl scripti.pl tiedoston_nimi

Kuina saan sen muuttujaan x?

3

950

    Vastaukset

    Anonyymi (Kirjaudu / Rekisteröidy)
    5000
    • dez

      Elikkästeen...

      shellistä kun antaa tuollaisen
      perl scripti.pl file.txt

      niin sitten skriptissä saat sen näin:

      my $file = $ARGV[0];

      • Steve

        Kiitos suuri.


      • PeräRuori

        Sehän oli muuttuja äks, eikö?

        Eiks se sitten olis:

        my $x = shift;

        häh?

        :)


    Ketjusta on poistettu 0 sääntöjenvastaista viestiä.

    Luetuimmat keskustelut

    1. Minua odottaa

      Joku todella ihana nainen jossain tulevaisuudessa. Siihen uskon ja luotan. 🤗❤️✨
      Sinkut
      219
      4187
    2. Miten toivoisit

      Teidän välien olevan tällä hetkellä? Tässä tilanteessa?
      Ikävä
      121
      4036
    3. Keksitkö keinon

      Miten voin nähdä ihastusta joka ei myönnä tunteitaan.
      Ikävä
      48
      3642
    4. Haluaisitko nähdä

      Hänet alastomana?
      Ikävä
      67
      3049
    5. Hilirimpsistä

      Hyvää huomenta ja kivaa päivää. Ilmat viilenee. Niin myös tunteet. 🧊☕✨🍁❤️
      Ikävä
      200
      2751
    6. Älä elättele

      Toiveita enää. Ihan turhaa. Sotku mikä sotku.
      Ikävä
      49
      2538
    7. Nainen lopeta pakoon luikkiminen?

      Elämä ei oo peli 😔😟
      Ikävä
      22
      2538
    8. Olet täällä. Mutta ei minulle.

      Nyt olen tästä 100% varma. Satuttaa. T: V
      Ikävä
      20
      2416
    9. T- miehelle....

      Kuka sua rakastaa? Kertoi rakastavansa....
      Suhteet
      41
      2299
    10. Kuule rakas...

      Kerrohan minulle lempivärisi niin osaan jatkaa yhtä projektia? Arvaan jo melkein kyllä toki. Olethan sinä aina niin tyyl
      Ikävä
      41
      2255
    Aihe